CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .23 .24 .25 .26 .27 4228.29 .30 .31 .32 .33 ... 4322 »
  1. CPLD任意分频输出 VHDL

    0下载:
  2. CPLD任意分频输出 VHDL,调试通过
  3. 所属分类:VHDL编程

  1. 4to2

    0下载:
  2. 4對2解碼器 利用CASE方式來做選擇 較類似C語言-4 2 decoder to use to make a choice of more CASE manner similar to C language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:666
    • 提供者:jiayi
  1. Gray-Counter

    0下载:
  2. 格雷码,用于理解格雷码的的功能,减少出错。同样对于卡诺图很用吧。-Gray code, Gray code, the function used to understand and reduce errors. The same for the Karnaugh map.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:666
    • 提供者:郭稳
  1. shft_reg_8_vhdl

    0下载:
  2. this a shift register vhdl code-this is a shift register vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:666
    • 提供者:yz
  1. Half

    0下载:
  2. 半整数分频,可以分出x.5的频率,大家请自行研究其他频率。-Half-integer frequency, the frequency may be distinguished x.5, we requested to look into other frequencies.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:665
    • 提供者:江山
  1. randomizer

    0下载:
  2. randomizer for wi max pys
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:665
    • 提供者:beshoy
  1. bcd

    0下载:
  2. 4位二进制数转BCD码,由拨码键盘输入,结果由数码管显示-BCD 4-bit binary code switch from dial code keyboard input, the results from the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:665
    • 提供者:riversky
  1. jianfaqi

    0下载:
  2. 8位减法器,我在quartus 9.0版本上运行正常,大家放心下载-8-bit subtractor, I run the normal version of quartus 9.0, we rest assured Download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:665
    • 提供者:
  1. www_onlylz_com@b-do84mw

    0下载:
  2. nois2 开发实例。应用平台是DE2开发板。实现一个简单的电子时钟的显示万年历。设计简单,便捷-nois2 development instance. The application platform is DE2 development board. Implement a simple electronic clock display calendar. The design is simple, convenient
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-10-31
    • 文件大小:665
    • 提供者:lufei
  1. VGA_VHDL

    0下载:
  2. VGA 视频 VHDL 原代码, 当然你需要FPGA板去调试改变. 仅仅看作好的原始参考-VGA video VHDL source code, of course, you need to FPGA board to debug changed. Merely as good the original reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:665
    • 提供者:Scott Reed
  1. rim_top

    0下载:
  2. this is source usinf fifo source xilinx thank you.........................
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:665
    • 提供者:Kimjaehwan
  1. b2d

    0下载:
  2. 使用Verilog语言编写的2进制转10进制程序-Using Verilog language binary program turns 10 decimal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:665
    • 提供者:白小白
« 1 2 ... .23 .24 .25 .26 .27 4228.29 .30 .31 .32 .33 ... 4322 »
搜珍网 www.dssz.com