CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .40 .41 .42 .43 .44 4245.46 .47 .48 .49 .50 ... 4322 »
  1. alu

    0下载:
  2. airthmatic & logic unit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:638
    • 提供者:vaibhav
  1. clock_design

    0下载:
  2. 用VHDL实现了一个电子时钟的功能,能同时显示分/秒-VHDL implementation with an electronic clock function, can display minutes/seconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:638
    • 提供者:陈欢
  1. vga_rgb

    0下载:
  2. 基于FPGA的实验。编写程序实现VGA彩条显示。像素800x600,刷新频率75Hz,实现8位色的彩条显示-FPGA-based experiment. Programming to achieve color VGA display. Pixel 800x600, refresh rate 75Hz, to achieve 8-bit color display color
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:637
    • 提供者:贺欧
  1. matrikeyscan

    0下载:
  2. 矩阵键盘在工程中应用很广,而且在一些开发板上也会用到矩阵键盘,用FPGA来实现键盘的借口方便简单,本代码就是扫描接口设计源代码-matiry key scan code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:637
    • 提供者:microeric
  1. jiajianfaqi

    0下载:
  2. 利用VHDL语言设计的两位加减法器,设计采用BLOCK并行设计可以同时进行加法与减法运算-VHDL language design using addition and subtraction of two instruments used, designed using BLOCK parallel design can be done concurrently addition and subtraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:637
    • 提供者:庞潮
  1. frehp

    0下载:
  2. 基于频率抽样方法实现Ⅰ型FIR数字高通滤波器-Based on the frequency sampling method to achieve type Ⅰ FIR digital high-pass filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:637
    • 提供者:liguohong
  1. clk_divide5

    0下载:
  2. 五分频电路verilog源码,包含测试文件-Five-frequency circuit verilog source code, including test file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:637
    • 提供者:楚寒
  1. DAC0832_control

    0下载:
  2. 用verilog HDL编程实现的基于DAC0832的三角波信号,可借鉴编程实现DAC0832芯片控制-Programming with verilog HDL DAC0832-based triangular wave signal, we may learn programming DAC0832 chip control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:637
    • 提供者:hemy
  1. Cllk20Mto10

    0下载:
  2. 分频器,将20Hz的时钟信号分频到10Hz-Divider, the clock signal frequency 20Hz to 10Hz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:637
    • 提供者:凤琰
  1. writing

    0下载:
  2. 关于RAM/ROM的一个写操作的程序,语言为verilog-On RAM/ROM, a write operation procedures, language verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:637
    • 提供者:刘春
  1. GIAIMA38

    0下载:
  2. Card decode code from 38
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:637
    • 提供者:minhthea8
  1. adc0809

    0下载:
  2. ADC0809驱动,VHDL语言描述,开发环境QUARTUS-ADC0809 driver, VHDL language, development environment QUARTUSII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:637
    • 提供者:
« 1 2 ... .40 .41 .42 .43 .44 4245.46 .47 .48 .49 .50 ... 4322 »
搜珍网 www.dssz.com