CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .90 .91 .92 .93 .94 4295.96 .97 .98 .99 .00 ... 4322 »
  1. MY_CAMARA_3_18_FIFO

    0下载:
  2. 基于QUARTUS集成环境开发的IP核,能够读取数据,并将其显示在数码管上-the IP core of fpga,can be used in embedded device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27821056
    • 提供者:刘普明
  1. verilog

    0下载:
  2. 一个很好的关于verilog的PPT 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27825626
    • 提供者:lyy
  1. async_fifo_prj

    0下载:
  2. Verilog语言写的异步fifo,包含随机数产生电路和testbentch,直接可用于实际工程中。代码为华为内部代码。相信你会喜欢。-Verilog language used to write asynchronous fifo, including random number generation circuit and testbentch, can be used directly in the actual project. Code for Huawei internal code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27829302
    • 提供者:allcot
  1. verilog

    0下载:
  2. 第1章 EDA设计与Verilog HDL语言概述 第2章 Verilog HDL基础与开发平台操作指南 第3章 Verilog HDL程序结构 第4章 VERILOG HDL语言基本要素 第5章 面向综合的行为描述语句 第6章 面向验证和仿真的行为描述语句 第7章 系统任务和编译预处理语句 第8章 VERILOG HDL可综合设计的难点解析 第9章 高级逻辑设计思想与代码风格 第10章 可综合状态机开发实例 第11章 常用逻辑的VERILOG HDL实现
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27830787
    • 提供者:lyy
  1. gobang

    4下载:
  2. 一个用verilog实现的五子棋程序,用在fpga上,连接显示器,可选择与电脑对战或是双人对战,按wsad控制方向,回车控制落子,程序会自动判断输赢并显示结果-A 331 procedures implemented by verilog, used in fpga, connect the monitor, you can choose to play against the computer or a double play, press wsad control the direction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-04
    • 文件大小:27851776
    • 提供者:csy
  1. fft

    0下载:
  2. 一个fft算法的应用,对于初学者对于FFT算法的应用有了很大的帮助-The application of a fft algorithm, FFT algorithm for the application for beginners has been a great help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27875229
    • 提供者:jibaozhang
  1. jesd204_0_ex

    1下载:
  2. jesd204b接收部分程序和带仿真历程(Jesd204b receiving part program and simulation process)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-03-22
    • 文件大小:27972608
    • 提供者:willing_bank
  1. dpramcore

    0下载:
  2. 基于altera fpga的dpram ipcore 设计,包含整个工程和modelsim仿真文件。读写地址及读写使能是通过数据产生模块来产生。-Altera fpga dpram ipcore design, including engineering and modelsim simulation file. Read and write address and read and write is through the data module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-24
    • 文件大小:28038144
    • 提供者:ghj
  1. ramipcore

    0下载:
  2. 使用vhdl 语言在fpga环境下实现ram ip core-Environment in fpga vhdl language used to achieve ram ip core
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:28038144
    • 提供者:wang
  1. EDAandVerilog-HDL

    0下载:
  2. 这是一本EDA技术和Verilog语言的书籍,对EDA学习者有很大的帮助-This is an EDA technology and Verilog language books, great for the EDA to help learners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:28069771
    • 提供者:hanwang
  1. IIR

    0下载:
  2. 基于nios ii嵌入式系统的IIR滤波器实现,自带ADC,DAC驱动代码-according nios ii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:28114491
    • 提供者:jiangxin
  1. addafilter

    0下载:
  2. 基于NIOSii的数字滤波器,包括AD和DA的读取输出部分,包括C语言源码和verilog源工程-digital filter based on Nios2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-19
    • 文件大小:28141568
    • 提供者:胡哲哲
« 1 2 ... .90 .91 .92 .93 .94 4295.96 .97 .98 .99 .00 ... 4322 »
搜珍网 www.dssz.com