CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 77 78 79 80 81 8283 84 85 86 87 ... 4322 »
  1. test01

    0下载:
  2. 利用状态机编写的流水灯的VHDL程序源代码,基于xilinx公司的spartan3E入门级开发板。-Water prepared by a state machine VHDL source code lights, based on the company s spartan3E xilinx entry-level development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:645
    • 提供者:仝信
  1. sub

    0下载:
  2. 可实现输入的2个一位十进制数的减运算。要求:输入提供十个数字键,先转化为8421码,再运算,输入的数据和输出结果都要以七段显示译码器显示出来(仿真波形)。输入模块、运算模块、数据转换模块要求用不同的模块分别实现。-Can be one of the input of two decimal reduction operations. Requirements: Enter the ten numeric keys provided, the first transformed into 8,42
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:645
    • 提供者:weight
  1. lcd1602

    0下载:
  2. 用LCD1602循环显示,可现实自己向现实的内容!-With LCD1602 cycle, the reality to the reality of their own content!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:645
    • 提供者:duxing
  1. 20frequency-divider

    0下载:
  2. 20分频器的实现,利用Verilog语言-realize 20 frequence device by Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:645
    • 提供者:冬冬
  1. shift_reg

    0下载:
  2. 这是一个具有多功能的移位寄存器,长度为8位。具有置位输入端,具备串并转换的功能,并在寄存器满和空时给出提示信号。是专门为SPI模块特意编写的。-This is a versatile shift register, a length of 8 bits. With the input set, with string and conversion functions, and full and empty register signal when prompted.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:645
    • 提供者:张建峰
  1. LCD_Controller

    0下载:
  2. 学习LCD的控制,如何驱动一个1602LCD显示器。对嵌入式初学者很有帮助。-Learn from the LCD control, how to drive a 1602LCD display. Helpful embedded beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:645
    • 提供者:wl
  1. gun

    0下载:
  2. 一个LED数码管电子钟,涉及计数器,动态扫描-LED digital tube electronic clock, involved in counter, dynamic scanning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:645
    • 提供者:黎明
  1. 3-8

    0下载:
  2. 3—8译码器,在fpga上实现3,8译码的功能-decoder 3 8
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:645
    • 提供者:李为
  1. FA_8

    0下载:
  2. Full adder 8 vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:646
    • 提供者:mohsen
  1. pspro

    0下载:
  2. 计算机所用的键盘就是ps接口键盘,本设计是基于FPGA的ps2键盘接口设计,所用的编程语言是VHDL语言,已经通过了仿真,可以很好的实现功能-ps2keyboard interface with VHDL codes and it has useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:646
    • 提供者:microeric
  1. suma

    0下载:
  2. using contant, multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:646
    • 提供者:Rakzorg
  1. CopyCard

    0下载:
  2. 该程序主要实现多路选择通道。类似于38译码器。-Main achievement of the program multiplexer channels. Similar to the decoder 38.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:646
    • 提供者:hanwei
« 1 2 ... 77 78 79 80 81 8283 84 85 86 87 ... 4322 »
搜珍网 www.dssz.com