CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .43 .44 .45 .46 .47 4148.49 .50 .51 .52 .53 ... 4322 »
  1. 4_COMP

    1下载:
  2. 使用硬件实现,效率较高的乘法器,通过FPGA验证的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:801
    • 提供者:hwg
  1. 基于vhdl的二进制转BCD码的设计

    0下载:
  2. 基于vhdl的二进制转BCD码的设计,已经经过调试,可直接使用,Vhdl based on binary code to BCD design, has been testing can be used directly
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-27
    • 文件大小:801
    • 提供者:郭帅
  1. 58

    0下载:
  2. 5/8分频器,实现分频功能,受外部周期信号激励的震荡,其频率恰为激励信号频率的纯分数,都叫做分频。-5/8frequency demultiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:801
    • 提供者:柳苏
  1. DATA_scramble

    0下载:
  2. 扰码器的verilog实现,参考802.11a相关标准-Scrambler in verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:801
    • 提供者:
  1. seg71

    0下载:
  2. 7段数码管测试实验1:以动态扫描方式在8位数码管“同时”显示0--7 实验的目的是向用户介绍多个数码管动态显示的方法。 动态显示的方法是,按一定的频率轮流向各个数码管的COM端送出低电平,同时送出对应的数据给各段。-7-segment test experiment 1: 8-bit dynamic digital scanning mode in the pipe " while" display 0- 7 experiment is introduced to th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:801
    • 提供者:zhangqiang
  1. Decoy

    0下载:
  2. 外部 FIFO 的控制 verilog语言-verilog FIFo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:801
    • 提供者:xuxf
  1. mapper

    0下载:
  2. vhdl code for mapping the real and quadrature QAM Symbol
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:801
    • 提供者:stevanus edwin
  1. wave

    0下载:
  2. 可控脉冲发生器的VHDL源代码。设计文件加载到目标器件后,按下按键开关模块的S8按键,在输出观测模块通过示波器可能观测到一个频率约为1KHZ、占空比为50 的矩形波。按下S1键或者S2键,这个矩形波的频率会发生相应的增加或者减少。按下S3键或者S4键,这个矩形波的占空比会相应的增加或减少。-Controllable pulse generator of the VHDL source code. Design documents loaded to the target device and p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:800
    • 提供者:王唐小菲
  1. QPSK

    1下载:
  2. OFDM系统中的QPSK调制,实用verilog编程,用于FPGA设计-for ofdm system
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:800
    • 提供者:周美红
  1. auart_send

    0下载:
  2. usb command 静态存储器源程序-usb command
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:800
    • 提供者:liuzhijun
  1. oscillator

    0下载:
  2. CODE FOR ON CHIP OSCILLATOR IMPLEMENTATION IN ALTERA MAX2 SERIES CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:800
    • 提供者:muthu
  1. crc5

    0下载:
  2. CRC 5 encription and decription module. Operates with serial input data, CRC output is 5bits register. If you decoding CRC the input is valid when output is set to 00000 .-CRC 5 encription and decription module. Operates with serial input data, CRC o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:800
    • 提供者:harvanek
« 1 2 ... .43 .44 .45 .46 .47 4148.49 .50 .51 .52 .53 ... 4322 »
搜珍网 www.dssz.com