CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .38 .39 .40 .41 .42 32743.44 .45 .46 .47 .48 ... 33645 »
  1. mimasuo

    1下载:
  2. 数字密码锁 sjtu 用于教学 basys2板子-digital codelock for SJTU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:6144
    • 提供者:周晓辰
  1. ise1

    1下载:
  2. ise教程,Xilinx FPGA/CPLD设计手册 Xilinx公司推荐FPGA/CPLD培训手册-ise for Xilinx FPGA/CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:2767865
    • 提供者:yyan
  1. ad_control

    1下载:
  2. 用verilog实现对AD7656的控制,包括AD的启停、数据的读入。-control the AD7656 to work properly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:1651
    • 提供者:mayechen
  1. AD7793

    1下载:
  2. 运用VHDL语言,实现AD7793芯片的采样程序和SPI的通讯程序,可以将该子模块加载到主程序中。-VHDL language to achieve the AD7793 chip sampling procedures and SPI communication program, this sub-module is loaded into the main program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:1670
    • 提供者:陈诚
  1. xufeng_cdr

    1下载:
  2. 软件CDR 设计,在LATTICE 平台上验证通过,含说明PPT。Lattice soft cdr-Lattice soft cdr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:39936
    • 提供者:ZHOUJT
  1. uart-code-(Verilog)

    1下载:
  2. uart 源码 Verilog CPLD -uart code Verilog CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:10316
    • 提供者:zhaochao
  1. clock

    1下载:
  2. 时钟分配电路,输入为时钟信号CLK,输出为信号F0~F5,这六个信 号中只允许有一个为高电平,F0、F2、F4的持续时间为2个CLK,F1、F3、F5的持续时间为4个CLK。 -A clock distribution circuit, the input clock signal CLK, the output signal F0 ~~ F5, the six signal only allowed to have a high level, F0, F2, F4 duration o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:893
    • 提供者:victor
  1. dma_ahb

    1下载:
  2. 挂靠在AMBA2.0的AHB总线上的DMA装置,用于直接发起数据传输。-Anchored the DMA devices the AHB bus AMBA2.0, for initiating data transfer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:750208
    • 提供者:jiangxingtong
  1. VHDL_Ethernet

    1下载:
  2. VHDL实现的以太网测试仪器,可以根据配置生成各种模式的以太网数据报文,并对接收到的以太网数据进行统计。-VHDL realization of Ethernet test instrument can generate a variety of modes depending on the configuration of Ethernet data packets, and receives Ethernet data statistics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:265183
    • 提供者:张雷
  1. Verilog

    1下载:
  2. RAM ,IFFO实现字节的存储器设计,经过验证-RAM, IFFO bytes of memory design, proven
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-09
    • 文件大小:116054
    • 提供者:an
  1. 8255A

    1下载:
  2. 8255a 做输入输出作用,LED流水灯操作-The Harris 82C55A is a high performance CMOS version o the industry standard 8255A and is manufactured using self-aligned silicon gate CMOS process (Scaled SAJI IV).
  3. 所属分类:Driver develop

    • 发布日期:2017-11-28
    • 文件大小:259819
    • 提供者:zxc
  1. UART-SPI-I2C-VGA

    1下载:
  2. 里面有i2c,uart,spi的代码,也是从别的地方下的觉得还不错,,与大家分享一下,做个参考-I2c, uart, spi code inside, but also from elsewhere feel pretty good, and we share with you, to be a reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:504471
    • 提供者:娃娃
« 1 2 ... .38 .39 .40 .41 .42 32743.44 .45 .46 .47 .48 ... 33645 »
搜珍网 www.dssz.com