CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .40 .41 .42 .43 .44 32745.46 .47 .48 .49 .50 ... 33645 »
  1. Mul32

    1下载:
  2. Verilog语言编写的单精度浮点数乘法器-The Verilog language of single precision floating point multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:8218
    • 提供者:lenovo
  1. CPLD-digital-clock-design

    1下载:
  2. 基于CPLD实验板的多功能数字钟设计,运用VHDL编写程序-Multifunction digital clock design based on CPLD experimental board, the use of VHDL programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-03
    • 文件大小:73302
    • 提供者:木子李
  1. DMA_TOP

    1下载:
  2. vhdl code of dma module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:1245
    • 提供者:rashmi
  1. rs422

    1下载:
  2. RS-422的VHDL实现,代码测试能用-RS-422 VHDL implementation code test can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-02
    • 文件大小:991232
    • 提供者:PETER
  1. video_add_program

    1下载:
  2. 用FPGA实现的视频叠加系统,电子设计大赛的,程序-FPGA implementation of video overlay system, Electronic Design Contest, the program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-17
    • 文件大小:3934208
    • 提供者:陈林
  1. CICzhengli

    1下载:
  2. 整合本站所有CIC滤波器能用的下载,并给出最好的选择,节省您的时间,花一次费用享受多次代码下载-Integration site CIC filter can download and gives the best choice, saving you the time to spend a one-time cost to enjoy several Codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:59917
    • 提供者:胡昊波
  1. VGA_ROM

    1下载:
  2. FPGA驱动VGA 显示图片的完整代码,经测试可用,ROM中已经保存有一个图片的rgb信息,大家也可以更改图片,去网上下载一个RGB提取的程序就可以了,把图片rgb信息保存在ROM里-FPGA VGA CODE
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-23
    • 文件大小:1336552
    • 提供者:fsy
  1. verilog_Manchester

    1下载:
  2. verilog—Manchester 极为简单的曼彻斯特编解码 verilog实现 分为编码和解码两个部分 通过自己测试 同步异步均正常收发-extremely simple verilog-Manchester Manchester codec verilog achieve synchronization through their own test is divided into two parts of the encoding and decoding Asynchronous w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:885
    • 提供者:摩托
  1. SD_Card_test

    1下载:
  2. SD卡读写程序,SPI接口实现,采用verilog hdl实现- SD read and write test
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-27
    • 文件大小:3059607
    • 提供者:zhangqiang
  1. src

    1下载:
  2. 异步SRAM控制器,已经在DE2板子上测试可用,测试频率50MHz。-Asynchronous SRAM controller, has been on the DE2 board test available test frequency 50MHz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-10-13
    • 文件大小:9216
    • 提供者:wuyuehang
  1. fft

    1下载:
  2. Verilog的简单FFT算法,简单,好用-Verilog simple FFT algorithm, simple, easy to use
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-13
    • 文件大小:26481
    • 提供者:Dreax
  1. blauxe_4v8b_2d1e

    1下载:
  2. 4路数据光端机,光纤传输485或232数据控制球机云台,经过验证无问题-four data link
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:3217
    • 提供者:徐凡
« 1 2 ... .40 .41 .42 .43 .44 32745.46 .47 .48 .49 .50 ... 33645 »
搜珍网 www.dssz.com