CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... 86 87 88 89 90 9192 93 94 95 96 ... 4322 »
  1. anjian

    0下载:
  2. 按键消痘程序,非常好用,大家可以-Key acne procedures, very easy to use, we can try.................
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:659
    • 提供者:陈建祥
  1. adder

    0下载:
  2. vhdl adder with two input 4-bit and output of 4 bits and carry
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:660
    • 提供者:querias
  1. m_vhdl

    0下载:
  2. 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)。-Design a pseudo-random sequence generator, using the generating polynomial 1+ X ^ 3+ X ^ 7. Requires a RESET terminal end and two control registers to adjust the initial valu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:660
    • 提供者:haodiangei
  1. FIFO

    0下载:
  2. FIFO,双端口数据存储器,实现数据先入先出的存储器件-FIFO, dual port data memory, data FIFO memory device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:660
    • 提供者:清华
  1. juntos

    0下载:
  2. serparser vhdl file download gggggggggdstrhjtdsjtdc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:660
    • 提供者:debashish
  1. 4X4

    0下载:
  2. ANOTHER 4X4 EXAMPALE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:660
    • 提供者:Jonathan
  1. ALU

    0下载:
  2. ALU 与ALU控制器 实验 VHDL Verilog 语言设计-ALU VHDL Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:660
    • 提供者:abc
  1. sell

    0下载:
  2. 自动售饮料机代码,信号定义: clk: 时钟输入; reset: 为系统复位信号; half_dollar: 代表投入5角硬币; one_dollar: 代表投入1元硬币; half_out: 表示找零信号; dispense: 表示机器售出一瓶饮料; collect: 该信号用于提示投币者取走饮料。 -Automatic beverage code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:660
    • 提供者:winstone
  1. dtc

    0下载:
  2. 可以根据不同的传输要求,实现命令字和数据字的精确同步控制,编码中包含了时钟和数据信息,在传输代码信息的同时,实现了时钟信号的同步传输-According to different transmission requirements, the command and data words to achieve precise synchronization control, the encoding of the clock and data information contained in th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:660
    • 提供者:reder
  1. addsub

    0下载:
  2. This code implement add or sub between 2 number
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:660
    • 提供者:Thinh
  1. m_vhdl

    0下载:
  2. 伪随机序列发生器的vhdl算法 设计一个伪随机序列发生器,采用的生成多项式为1+X^3+X^7。要求具有一个RESET端和两个控制端来调整寄存器初值(程序中设定好四种非零初值可选)-m sequence vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:661
    • 提供者:
  1. CRC10

    0下载:
  2. CRC校验 自己编写的程序,通过matlab仿真-CRC check
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:661
    • 提供者:wenxin
« 1 2 ... 86 87 88 89 90 9192 93 94 95 96 ... 4322 »
搜珍网 www.dssz.com